Part Number Hot Search : 
A1108 DTA144EE TIP35 ADP3155 LCX16 ILD1205T F1016 ST773
Product Description
Full Text Search
 

To Download PC755 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  1 2138d?hirel?06/03 features ? 18.1specint95, estima tes 12.3 specfp95 at 400 mhz (PC755) ? 15.7specint95, 9specfp 95 at 350 mhz (pc745) ? 733 mips at 400 mhz (PC755) at 641 mips at 350 mhz (pc745) ? selectable bus clock (12 cpu bus dividers up to 10x) ? p d typical 6.4w at 400 mhz, full operating conditions ? nap, doze and sleep modes for power savings ? superscalar (3 instructions per clock cycle) two instruction + branch ? 4 beta byte virtual memory, 4-gbyte of physical memory ? 64-bit data and 32-bit address bus interface ? 32-kb instruction and data cache ? six independent execution units ? write-back and write-through operations ? f int max = 400 mhz (tbc) ? f bus max = 100 mhz ? voltage i/o 2.5v/3.3v; voltage int 2.0v description the PC755 and pc745 powerpc ? microprocessors are high-performance, low- power, 32-bit implementations of the powerpc reduced inst ruction set computer (risc) architecture, especially enhanced for embedded applications. the PC755 and pc745 microprocessors differ only in that the PC755 features an enhanced, dedicated l2 cache interface with on-chip l2 tags. the PC755 is a drop-in replacement for the award winning powerpc 750 ? microprocessor and is footprint and user software code compatible with the mpc7400 microprocessor with altivec ? technology. the pc745 is a drop-in replacement for the powerpc 740 ? microproces- sor and is also footprint and user software code compatible with the powerpc 603e ? microprocessor. PC755/745 microprocesso rs provide on-chip debug support and are fully jtag-compliant. the pc745 microprocessor is pin compatible with the tspc603e family. zf suffix pbga255 flip-chip plastic ball grid array zf suffix pbga360 flip-chip plastic ball grid array g suffix cbga360 ceramic ball grid array gh suffix hitce 360 ceramic ball grid array gs suffix ci-cga360 ceramic ball grid array with solder column interposer (sci) powerpc 755/745 risc microprocessor PC755/745 preliminary -site rev. 2138d?hirel?06/03
2 PC755/745 2138d?hirel?06/03 screening this product is manufactur ed in full compliance with: ? cbga + ci-cga + fc-pbga up scr eenings based upon atmel standards ? hitce ? full military temperature range (tj = -55 c,+125 c) industrial temperature range (tj = -40 c,+110 c) general description simplified block diagram the PC755 is targeted for low power sy stems and supports power management fea- tures such as doze, nap, sleep, and dynamic power management. the PC755 consists of a processor core and an internal l2 tag combined with a dedicated l2 cache inter- face and a 60x bus. figure 1. PC755 block diagram additional features time base counter/decrementer clock multiplier jtag/cop interface thermal/power management performance monitor + + fetcher branch processing btic 64-entry + x ? fpscr cr fpscr l2cr ctr lr bht data mmu instruction mmu not in the pc745 ea pa + x ? instruction unit unit instruction queue (6-w ord) 2 instructions reservation station reservation station reservation station integer unit 1 system register unit dispatch unit 64-bit (2 instructions) srs itlb (shadow) ibat array 32-kbyte i cache tags 128-bit (4 instructions) reservation station 32-bit floating-point unit rename buffers (6) fpr file 32-bit 64-bit 64-bit reservation station (2-entry) load/store unit (ea calculation) store queue gpr file rename buffers (6) 32-bit srs (original) dtlb dbat array 64-bit completion unit reorder buffer (6-entry) tags 32-kbyte d cache 60x bus interface unit instruction fetch queue l1 castout queue data load queue l2 controller l2 tags l2 bus interface unit l2 castout queue 32-bit address bus 32-/64-bit data bus 17-bit l2 address bus 64-bit l2 data bus integ er unit 2
3 PC755/745 2138d?hirel?06/03 general parameters the following list provides a summary of the general parameters of the PC755: features this section summarizes features of the PC755?s implementation of the powerpc archi- tecture. major features of the PC755 are as follows: ? branch processing unit ? four instructions fetched per clock ? one branch processed per cycle (plus resolving 2 speculations) ? up to 1 speculative stream in execut ion, 1 additional speculative stream in fetch ? 512-entry branch history table (bht) for dynamic prediction ? 64-entry, 4-way set associative branch target instructi on cache (btic) for eliminating bran ch delay slots ? dispatch unit ? full hardware detection of dependenc ies (resolved in the execution units) ? dispatch two instructions to six independent units (system, branch, load/store, fixed-point unit 1, fixed-point unit 2, floating-point) ? serialization control (pre dispatch, postdispatch, execution serialization) ? decode ? register file access ? forwarding control ? partial instruction decode ? completion ? 6 entry completion buffer ? instruction tracking and peak completion of two instructions per cycle ? completion of instructions in program order while supporting out-of-order instruction execution, completion se rialization and all instruction flow changes ? fixed point units (fxus) that share 32 gprs for integer operands ? fixed point unit 1 (fxu1)-multiply, di vide, shift, rotate, arithmetic, logical ? fixed point unit 2 (fxu2)-shif t, rotate, arithmetic, logical technology 0.22 m cmos, six-layer metal die size 6.61 mm x 7.73 mm (51 mm 2 ) transistor count 6.75 million logic design fully-static packages pc745 surface mount 255 plastic ball grid array (pbga) PC755 surface mount 360 plastic ball grid array (pbga) surface mount 360 ceramic ball grid array (ci-cga, cbga, hitce) core power supply 2v 100 mv dc (nominal; some parts support core voltages down to 1.8v; see table 5 for recommended operating conditions) i/o power supply 2.5v 100 mv dc or 3.3v 165 mv dc (input thresholds are configuration pin selectable)
4 PC755/745 2138d?hirel?06/03 ? single-cycle arithmetic, shifts, rotates, logical ? multiply and divide support (multi-cycle) ? early out multiply ? floating-point unit and a 32-entry fpr file ? support for ieee-754 standard single and double precision floating point arithmetic ? hardware support for divide ? hardware support for denormalized numbers ? single-entry reservation station ? supports non-ieee mode fo r time-critical operations ? system unit ? executes cr logical instructions a nd miscellaneous system instructions ? special register transfer instructions ? load/store unit ? one cycle load or store cache access (byte, half-word, word, double-word) ? effective address generation ? hits under misses (one outstanding miss) ? single-cycle unaligned access within double word boundary ? alignment, zero padding, sign extend for integer register file ? floating point internal format conversion (alignment, normalization) ? sequencing for load/store multiples and string operations ? store gathering ? cache and tlb instructions ? big and little-endian byte addressing supported ? misaligned little-endian supported ? level 1 cache structure ? 32k, 32 bytes line, 8-way set a ssociative instruction cache (il1) ? 32k, 32 bytes line, 8-way se t associative data cache (dl1) ? cache locking for both instruction and data caches, selectable by group of ways ? single-cycle cache access ? pseudo least-recently used (plru) replacement ? copy-back or write through data cache (on a page per page basis) ? supports all powerpc memory coherency modes ? non-blocking instruction and data cache (one outstanding miss under hits) ? no snooping of instruction cache ? level 2 (l2) cache interface (not implemented on pc745) ? internal l2 cache controller and tags; external data srams ? 256k, 512k, and 1-mbyte 2-way set associative l2 cache support ? copyback or write-through data cache (on a page basis, or for all l2) ? instruction-only mode and data-only mode. ? 64 bytes (256k/512k) or 128 bytes (1m) sectored line size
5 PC755/745 2138d?hirel?06/03 ? supports flow through (register-buffer) synchronous burst srams, pipelined (register-register) synchronous burst srams (3-1-1-1 or strobeless 4-1-1-1) and pipelined (register-register) late-write synchronous burst srams ? l2 configurable to direct mapped sr am interface or split cache/direct mapped or private memory ? core-to-l2 frequency divisors of 1, 1.5, 2, 2.5, and 3 supported ? 64-bit data bus ? selectable interface voltages of 2.5v and 3.3v ? parity checking on both l2 address and data ? memory management unit ? 128 entry, 2-way set associative instruction tlb ? 128 entry, 2-way set associative data tlb ? hardware reload for tlbs ? hardware or optional software tablewalk support ? 8 instruction bats and 8 data bats ? 8 sprgs, for assistance with software tablewalks ? virtual memory support for up to 4 hexabytes (2 52 ) of virtual memory ? real memory support for up to 4 gigabytes (2 32 ) of physical memory ? bus interface ? compatible with 60x processor interface ? 32-bit address bus ? 64-bit data bus, 32-bit mode selectable ? bus-to-core frequency multipliers of 2x , 3x, 3.5x, 4x, 4.5x, 5x, 5.5x, 6x, 6.5x, 7x, 7.5x, 8x, 10x supported ? selectable interface voltages of 2.5v and 3.3v. ? parity checking on both address and data busses ? power management ? low-power design with thermal requirements very similar to pc740/750. ? selectable interface voltage of 1.8v/2.0 v can reduce power in output buffers (compared to 3.3v) ? three static power saving modes: doze, nap, and sleep ? dynamic power management ? testability ? lssd scan design ? ieee 1149.1 jtag interface ? integrated thermal management assist unit ? one-ship thermal sensor and control logic ? thermal management interrupt for software regulation of junction temperature
6 PC755/745 2138d?hirel?06/03 pin assignments figure 2 (in part a) shows the pinout of the pc745, 255pbga package as viewed from the top surface. part b shows the side profile of the pbga package to indicate the direc- tion of the top surface view. figure 2. pinout of the pc745, 255 pbga package as viewed from the top surface a b c d e f g h j k l m n p r t 123 4 5678 91011121314151 6 not to scale v iew die substrate assembly encapsulant part b part a
7 PC755/745 2138d?hirel?06/03 figure 3 (in part a) shows the pinout of th e PC755, 360 pbga packages as viewed from the top surface. part b shows the side profile of the pbga package to indicate the direc- tion of the top surface view. figure 3. pinout of the PC755, 360 pbga, cb ga and ci-cga packages as viewed from the top surface a b c d e f g h j k l m n p r t 123 4 5678 91011121314151 6 not to scale 17 18 19 u v w v iew die substrate assembly encapsulant part b part a
8 PC755/745 2138d?hirel?06/03 pinout listings table 1 provides the pinout listing for the pc745, 255 pbga package. table 1. pinout listing for the pc745, 255 pbga package signal name pin number active i/o i/f voltages supported (1) 1.8v/2.0v 3.3v a[0 - 31] c16, e4, d13, f2, d14, g1, d15, e2, d16, d4, e13, g2, e15, h1, e16, h2, f13, j1, f14, j2, f15, h3, f16, f4, g13, k1, g15, k2, h16, m1, j15, p1 high i/o ? ? aack l2 low input ? ? abb k4 low i/o ? ? ap[0 - 3] c1, b4, b3, b2 high i/o ? ? artry j4 low i/o ? ? avdd a10 ? ? 2v 2v bg l1 low input ? ? br b6 low output ? ? bvsel (3)(4)(5) b1 high input gnd 3.3v ci e1 low output ? ? ckstp_in d8 low input ? ? ckstp_out a6 low output ? ? clk_out d7 ? output ? ? dbb j14 low i/o ? ? dbg n1 low input ? ? dbdis h15 low input ? ? dbwo g4 low input ? ? dh[0 - 31] p14, t16, r15, t15, r13, r12, p11, n11, r11, t12, t11, r10, p9, n9, t10, r9, t9, p8 , n8, r8, t8, n7, r7, t7, p6, n6, r6, t6, r5, n5, t5, t4 high i/o ? ? dl[0 - 31] k13, k15, k16, l16, l15, l13 , l14, m16, m15, m13, n16, n15, n13, n14, p16, p15, r16, r14, t14, n10, p13, n12, t13, p3, n3, n4, r3, t1, t2, p4, t3, r4 high i/o ? ? dp[0 - 7] m2, l3, n2, l4, r1, p2, m4, r2 high i/o ? ? drtry g16 low input ? ? gbl f1 low i/o ? ? gnd c5, c12, e3, e6, e8, e9, e11, e14, f5, f7, f10, f12, g6, g8, g9, g11, h5, h7, h10, h12, j5, j7, j10, j12, k6, k8, k9, k11, l5, l7, l10, l12, m3, m6, m8, m9, m11, m14, p5, p12 hreset a7 low input ? ? int b15 low input ? ? l1_tstclk (2) d11 high input ? ? l2_tstclk (2) d12 high input ? ? lssd_mode (2) b10 low input ? -?
9 PC755/745 2138d?hirel?06/03 notes: 1. ov dd supplies power to the processor bus, jtag, and all control signals and v dd supplies power to the processor core and the pll (after filtering to become avdd). these columns serv e as a reference for the nominal voltage supported on a given signal as selected by the bvsel pin confi guration of table 4 and the voltage supp lied. for actual recommended value of v in or supply voltages see table 3. 2. these are test signals for factory use only and must be pulled up to ov dd for normal machine operation. 3. to allow for future i/o voltage changes, provide t he option to connect bvsel independently to either ov dd (selects 3.3v) or to ognd (selects 1.8v/2.0v). 4. uses one of 15 existing no - connects in pc745?s 255 - bga package. 5. internal pull up on die. 6. internally tied to gnd in the pc745 255 - bga package to indicate to the power supply that a low - voltage processor is present. this signal is not a power supply input. mcp c13 low input ? ? nc (no - connect) b7, b8, c3, c6, c8, d5, d6, h4, j16, a4, a5, a2, a3, b5 ? ? ? ? ovdd c7, e5, e7, e10, e12, g3, g5, g12, g14, k3, k5, k12, k14, m5, m7, m10, m12, p7, p10 ? ? 1.8v/2.0v 3.3v pll_cfg[0 - 3] a8, b9, a9, d9 high input ? ? qack d3 low input ? ? qreq j3 low output ? ? rsrv d1 low output ? ? smi a16 low input ? ? sreset b14 low input ? ? sysclk c9 ? input ? ? ta h14 low input ? ? tben c2 high input ? ? tbst a14 low i/o ? ? tck c11 high input ? ? tdi (5) a11 high input ? ? tdo a12 high output ? ? tea h13 low input ? ? tlbisync c4 low input ? ? tms (5) b11 high input ? ? trst (5) c10 low input ? ? ts j13 low i/o ? ? tsiz[0 - 2] a13, d10, b12 high output ? ? tt[0 - 4] b13, a15, b16, c14, c15 high i/o ? ? wt d2 low output ? ? v dd 2 f6, f8, f9, f11, g7, g10, h6, h8, h9, h11, j6, j8, j9, j11, k7, k10, l6, l8, l9, l11 ? ? 2v 2v voltdet (6) f3 high output ? ? table 1. pinout listing for the pc745, 255 pbga package (continued) signal name pin number active i/o i/f voltages supported (1) 1.8v/2.0v 3.3v
10 PC755/745 2138d?hirel?06/03 table 2 provides the pinout listing for the PC755, 360 pbga, cbga and ci-cga + hitce table 2. pinout listing for the PC755, 360 pbga, cbga and ci-cga packages + hitce (8) signal name pin number active i/o i/f voltages supported (1) 1.8v/2.0v 3.3v a[0 - 31] a13, d2, h11, c1, b13, f2, c13, e5, d13, g7, f12, g3, g6, h2, e2, l3, g5, l4, g4, j4, h7, e1, g2 , f3, j7, m3, h3, j2, j6, k3, k2, l2 high i/o ? ? aack n3 low input ? ? abb l7 low i/o ? ? ap[0 - 3] c4, c5, c6, c7 high i/o ? ? artry l6 low i/o ? ? avdd a8 - - 2v 2v bg h1 low input ? ? br e7 low output ? ? bvsel (3)(5)(6) w1 high input gnd 3.3v ci c2 low output ? ? ckstp_in b8 low input ? ? ckstp_out d7 low output ? ? clk_out e3 ? output ? ? dbb k5 low i/o ? ? dbdis g1 low input ? ? dbg k1 low input ? ? dbwo d1 low input ? ? dh[0 - 31] w12, w11, v11, t9, w10, u9, u10, m11, m9, p8, w7, p9, w9, r10, w6, v7, v6, u8, v9, t7, u7, r7, u6, w5, u5, w4, p7, v5, v4, w3, u4, r5 high i/o ? ? dl[0 - 31] m6, p3, n4, n5, r3, m7, t2, n6, u2, n7, p11, v13, u12, p12, t13, w13, u13, v10, w8, t11, u11, v12, v8, t1, p1, v1, u1, n1, r2, v3, u3, w2 high i/o ? ? dp[0 - 7] l1, p2, m2, v2, m1, n2, t3, r1 high i/o ? ? drtry h6 low input ? ? gbl b1 low i/o ? ? gnd d10, d14, d16, d4, d6, e12, e8, f4, f6, f10, f14, f16, g9, g11, h5, h8, h10, h12, h15, j9, j11, k4, k6, k8, k10, k12, k14, k16, l9, l11, m5, m8, m10, m12, m15, n9, n11, p4, p6, p10, p14, p16, r8, r12, t4, t6, t10, t14, t16 ? ? gnd gnd hreset b6 low input ? ? int c11 low input ? ? l1_tstclk (2) f8 high input ? ? l2addr[0 - 16] l17, l18, l19, m19, k18, k17, k15, j19, j18, j17, j16, h18, h17, j14, j13, h19, g18 high output ? ?
11 PC755/745 2138d?hirel?06/03 l2avdd l13 ? ? 2v 2v l2ce p17 low output ? ? l2clkouta n15 ? output ? ? l2clkoutb l16 ? output ? ? l2data[0 - 63] u14, r13, w14, w15, v15, u15, w16, v16, w17, v17, u17, w18, v18, u18, v19, u19, t18, t17, r19, r18, r17, r15, p19, p18, p13, n14, n13, n19, n17, m17, m13, m18, h13, g19, g16, g15, g14, g13, f19, f18, f13, e19, e18, e17, e15, d19, d18, d17, c18, c17, b19, b18, b17, a18, a17, a16, b16, c16, a14, a15, c15, b14, c14, e13 high i/o ? ? l2dp[0 - 7] v14, u16, t19, n18, h14, f17, c19, b15 high i/o ? ? l2ovdd d15, e14, e16, h16, j15, l15, m16, p15, r14, r16, t15, f15 ? ? 1.8v/2v 3.3v l2sync_in l14 ? input ? ? l2sync_out m14 ? output ? ? l2_tstclk (2) f7 high input ? ? l2vsel (1)(3)(5)(6) a19 high input gnd 3.3v l2we n16 low output ? ? l2zz g17 high output ? ? lssd_mode (2) f9 low input ? ? mcp b11 low input ? ? nc (no - connect) b3, b4, b5, w19, k9, k11 4 , k19 4 ? ? ? ? ovdd d5, d8, d12, e4, e6, e9 , e11, f5, h4, j5, l5 , m4, p5, r4, r6, r9, r11, t5, t8, t12 ? ? 1.8v/2v 3.3v pll_cfg[0 - 3] a4, a5, a6, a7 high input ? ? qack b2 low input ? ? qreq j3 low output ? ? rsrv d3 low output ? ? smi a12 low input ? ? sreset e10 low input ? ? sysclk h9 ? input ? ? ta f1 low input ? ? tben a2 high input ? ? tbst a11 low i/o ? ? tck b10 high input ? ? tdi (6) b7 high input ? ? tdo d9 high output ? ? table 2. pinout listing for the PC755, 360 pbga, cbga and ci-cga packages + hitce (8) (continued) signal name pin number active i/o i/f voltages supported (1) 1.8v/2.0v 3.3v
12 PC755/745 2138d?hirel?06/03 notes: 1. ov dd supplies power to the processor bus, jtag, and all contro l signals except the l2 cache controls (l2ce, l2we, and l2zz); l2ov dd supplies power to the l2 cache interface (l2addr[0 - 16], l2data[0 - 63], l2dp[0 - 7] and l2sync - out) and the l2 control signals; and v dd supplies power to the processor core and the pll and dll (after filtering to become av dd and l2av dd respectively). these columns serv e as a reference for the nominal voltage supported on a given signal as selected by the bvsel/l2vsel pin config urations of table 4 and the voltage su pplied. for actual recommended value of v in or supply voltages see table 5 . 2. these are test signals for factory use only and must be pulled up to ov dd for normal machine operation. 3. to allow for future i/o voltage changes, provide the option to connect bvsel and l2vsel independently to either ov dd (selects 3.3v) or to ognd (selects 1.8v/2.0v). 4. these pins are reserved for potential future use as additional l2 address pins. 5. uses one of 9 existing no - connects in pc750?s 360 - bga package. 6. internal pull up on die. 7. internally tied to l2ov dd in the PC755 360 - bga package to indicate the power present at the l2 cache interface. this sig- nal is not a power supply input. 8. this is different from the pc745 255-bga package. tea j1 low input ? ? tlbisync a3 low input ? ? tms (6) c8 high input ? ? trst (6) a10 low input ? ? ts k7 low i/o ? ? tsiz[0 - 2] a9, b9, c9 high output ? ? tt[0 - 4] c10, d11, b12, c12, f11 high i/o ? ? wt c3 low output ? ? vdd g8, g10, g12, j8, j10, j12, l8, l10, l12, n8, n10, n12 ? ? 2v 2v voltdet (7) k13 high output ? ? table 2. pinout listing for the PC755, 360 pbga, cbga and ci-cga packages + hitce (8) (continued) signal name pin number active i/o i/f voltages supported (1) 1.8v/2.0v 3.3v
13 PC755/745 2138d?hirel?06/03 signal description figure 4. PC755 microprocessor signal groups br bg abb ts tt[0-4] ap[0-3] tbst ts1z[0-2] gbl wt ci aack artr y dbg dbwo dbb l2addr [16-0] l2data [0-63] l2dp [0-7] l2clk-out [a-b] l2we a[0-31] l2sync_out l2sync_in int smi mcp hreset ckstp_in ckstp_out sysclk, pll_cfg [0-3] 4 17 64 8 factory test jt ag:cop address arbitration address start address bus transfer attribute address termination data arbitra tion l2 cache l2 vsel address/ dat a l2 cache clock/control interrupts reset clock control test interface 1 1 2 1 1 1 1 1 1 5 3 1 1 1 1 1 32 4 5 3 1 1 1 1 1 1 d[0-63] data transfer d[p0-7] dbdis ta data termina tion drtr y tea PC755b l2av dd l2v dd sreset 1 1 rsr v tben tlbisync qreq qack processor status control clk_out 1 1 1 1 1 1 1 1 v dd av dd l2ce l2zz not supported in the pc745b 1 1 8 1 1 1 11 64 gnd ov dd voltdet
14 PC755/745 2138d?hirel?06/03 detailed specification scope this drawing describes the specific requirements for the microprocessor PC755, in com- pliance with atmel grenoble standard screening. applicable documents 1) mil-std-883: test methods and procedures for electronics. 2) mil-prf-38535 appendix a: genera l specifications for microcircuits. requirements general the microcircuits are in accordance with the applicable documents and as specified herein. design and construction terminal connections depending on the package, the terminal connecti ons is shown in table 1, table 2 and figure 4. absolute maximum rating notes: 1. functional and tested operating conditions are given in table 5. absolute maximum ratings are stress ratings only, and func- tional operation at the maximums is not guaranteed. stresses beyond those listed may affect device reliability or cause permanent damage to the device. 2. caution: v in must not exceed ov dd or l2ov dd by more than 0.3v at any time including during power-on reset. 3. caution: l2ov dd /ov dd must not exceed v dd /av dd /l2av dd by more than 1.6v during normal operation. during power-on reset and power-down sequences, l2ov dd /ov dd may exceed v dd /av dd /l2av dd by up to 3.3v for up to 20 ms, or by 2.5v for up to 40 ms. excursions beyond 3.3v or 40 ms are not supported. 4. caution: v dd /av dd /l2av dd must not exceed l2ov dd /ov dd by more than 0.4v during normal operation. during power-on reset and power-down sequences, v dd /av dd /l2av dd may exceed l2ov dd /ov dd by up to 1.0v for up to 20 ms, or by 0.7v for up to 40 ms. excursions beyond 1.0v or 40 ms are not supported. 5. this is a dc specifications only. v in may overshoot/undershoot to a voltage and for a maximum duration as shown in figure 5. table 3. absolute maximum ratings (1) characteristic symbol maximum value unit core supply voltage (4) v dd -0.3 to 2.5 v pll supply voltage (4) av dd -0.3 to 2.5 v l2 dll supply voltage (4) l2av dd -0.3 to 2.5 v processor bus supply voltage (3) ov dd -0.3 to 3.6 v l2 bus supply voltage (3) l2ov dd -0.3 to 3.6 v input voltage processor bus (2)(5) v in -0.3 to ov dd + 0.3v v l2 bus (2)(5) v in -0.3 to l2ov dd + 0.3v v jtag signals v in -0.3 to 3.6 v storage temperature range t stg -65 to 150 c rework temperature t rwk 220 c
15 PC755/745 2138d?hirel?06/03 figure 5 shows the allowable undershoot and overshoot voltage on the PC755 and pc745. figure 5. overshoot/undershoot voltage the PC755 provides several i/o voltages to support both compatibility with existing sys- tems and migration to future systems. the PC755 core voltage must always be provided at nominal 2.0v (see table 5 for actual recommended core voltage). voltage to the l2 i/os and processor interface i/os are provid ed through separate sets of supply pins and may be provided at the voltages shown in table 4. the input voltage threshold for each bus is selected by sampling the state of the voltage sele ct pins bvsel and l2vsel dur- ing operation. these signals must remain stable during part operation and cannot change. the output voltage will swing from gnd to the maximum vo ltage applied to the ov dd or l2ov dd power pins. table 4 describes the input threshold voltage setting. notes: 1. caution: the input threshold selection must agree with the ov dd /l2ov dd voltages supplied. 2. the input threshold settings above are different for all revision s prior to rev. 2.8 (rev. e). for more inform ation, contact your local atmel sales office. (l2) ov dd +20% (l2) ov dd +5% (l2) ov dd gnd - 1.0v gnd - 0.3v gnd v ih not to exceed 10% of t sysclk v il table 4. input threshold voltage setting part revision bvsel signal processor bus interface voltage l2vsel signal l2 bus interface voltage e 0 not available 0 not available 1 2.5v/3.3v 1 2.5v/3.3v
16 PC755/745 2138d?hirel?06/03 notes: 1. these are the recommended and tested operating conditions . proper device operation outsid e of these conditions is not guaranteed. 2. revisions prior to rev. 2.8 (rev. e) offered different i/o voltage support. 3. 2.0v nominal. 4. 2.5v nominal. 5. 3.3v nominal. thermal characteristics package characteristics table 6 provides the package ther mal characteristics for the PC755. notes: 1. junction temperature is a function of on-chip power di ssipation, package thermal resistance, mounting site (board) temp era- ture, ambient temperatur e, air flow, power dissipation of other compone nts on the board, and board thermal resistance. 2. per semi g38-87 and jedec jesd51-2 with the single layer board horizontal. 3. per jedec jesd51-6 with the board horizontal. table 5. recommended operating conditions (1) recommended value unit 300 mhz, 350 mhz 400 mhz characteristic symbol min max min max core supply voltage (3) v dd 1.80 2.10 1.90 2.10 v pll supply voltage (3) av dd 1.80 2.10 1.90 2.10 v l2 dll supply voltage (3) l2av dd 1.80 2.10 1.90 2.10 v processor bus supply voltage (2)(4)(5) bvsel = 1 ov dd 2.375 2.625 2.375 2.625 v 3.135 3.465 3.135 3.465 v l2 bus supply voltage (2)(4)(5) l2vsel = 1 l2ov dd 2.375 2.625 2.375 2.625 v 3.135 3.465 3.135 3.465 v input voltage processor bus v in gnd ov dd gnd ov dd v l2 bus v in gnd l2ov dd gnd l2ov dd v jtag signals v in gnd ov dd gnd ov dd v die-junction temperature military temperature range t j -55 125 -55 125 c industrial temperature t j -40 110 -40 110 c table 6. package thermal characteristics characteristic symbol value unit PC755 cbga PC755 pbga pc745 pbga junction-to-ambient thermal re sistance, natural convection (1)(2) r ja 24 31 34 c/w junction-to-ambient thermal resistanc e, natural convection, four-layer (2s2p) board (1)(3) r jma 17 25 26 c/w junction-to-ambient thermal resistance, 200 ft./min. airflow, single-layer (1s) board (1)(3) r jma 18 25 27 c/w junction-to-ambient thermal resistance, 200 ft./min. airflow, four-layer (2s2p) board (1)(3) r jma 14 21 22 c/w junction-to-board thermal resistance (4) r jb 8 17 17 c/w junction-to-case thermal resistance (5) r jc < 0.1 < 0.1 < 0.1 c/w
17 PC755/745 2138d?hirel?06/03 4. thermal resistance between the die and the printed circuit board per jedec jesd51-8. boar d temperature is measured on the top surface of the board near the package. 5. thermal resistance between the die and the case top surf ace as measured by the co ld plate method (mil spec-883 method 1012.1) with the calculated case temperature. the actual value of r y jc for the part is less than 0.1 c/w. note: r efer to section ?thermal management information? page 19 for more details about thermal management. package thermal characteristics for hitce table 7 provides the package thermal characteristics for the PC755, hitce. notes: 1. simulation, no convection air flow. 2. per jedec jesd51-6 with the board horizontal. the board designer can choose between several types of heat sinks to place on the PC755. there are several commercially-available heat sinks for the PC755 provided by the following vendors: for the exposed-die packaging technology, s hown in table 5, the intrinsic conduction thermal resistance paths are as follows: ? the die junction-to-case (or top-of-die for exposed silicon) thermal resistance ? the die junction-to-ball thermal resistance figure 6 depicts the primary heat transfer path for a package with an attached heat sink mounted to a printed-circuit board. heat generated on the active side of the ch ip is conducted through the silicon, then through the heat sink attach material (or thermal interface material), and finally to the heat sink where it is remo ved by forced-air convection. since the silicon thermal resistanc e is quite small, for a firs t-order analysis, the tempera- ture drop in the silicon may be neglected. thus, the heat sink attach material and the heat sink conduction/convective thermal resistances are the dominant terms. table 7. package thermal characte ristics for hitce package characteristic symbol value unit PC755 hitce junction-to-bottom of balls (1) r j 6.8 c/w junction-to-ambient thermal resistance, natural convection, four-layer (2s2p) board (1)(2) r jma 20.7 c/w junction to board thermal resistance r jb 11.0 c/w table 8. package thermal characteristics for ci-cga characteristic symbol value unit PC755 ci-cga junction to board thermal resistance r jb 8.42 c/w
18 PC755/745 2138d?hirel?06/03 figure 6. c4 package with head sink mounted to a printed-circuit board note the internal versus external package resistance. thermal management assistance the PC755 incorporates a thermal management assist unit (tau) composed of a ther- mal sensor, digital-to-analog converter, comparator, control logic, and dedicated special-purpose registers (sprs). specifications for the thermal sensor portion of the tau are found in table 9. more information on the use of this feature is given in the motorola PC755 risc microprocessor user?s manual. notes: 1. the temperature is the junction temperatur e of the die. the ther mal assist unit?s raw output does not indicate an absolute temper ature, but must be interpreted by soft- ware to derive the absolute junction temper ature. for information about the use and calibration of the tau, see motorola appl ication note an1800/d, ?programming the thermal assist unit in the pc750 microprocessor?. 2. the comparator settling time value must be converted into the number of cpu clocks that need to be written into the thrm3 spr. 3. guaranteed by design and characterization. external resistance external resistance internal resistance radiation convection radiation convection heat sink printed circuit board thermal interface material package/leads die junction die/package table 9. thermal sensor specifications at recommended operating conditions (see table 5) characteristic min max unit temperature range (1) 0 127 c comparator settling time (2)(3) 20 ? s resolution (3) 4 ? c accuracy (3) -12 +12 c
19 PC755/745 2138d?hirel?06/03 thermal management information this section provides thermal management information for the ceramic ball grid array (bga) package for air-cooled applications. proper thermal control design is primarily dependent upon the system-lev el design-the heat sink, ai rflow and thermal interface material. to reduce the die-junction temperature, heat sinks may be attached to the package by several methods-adhesive, spring c lip to holes in the printed-circuit board or package, and mounting clip and screw assemb ly; see figure 7. this spring force should not exceed 5.5 pounds of force. figure 7. package exploded cross-sectional view with several heat sink options ultimately, the final selection of an appropriate heat sink depends on many factors, such as thermal performance at a given air velocity, spatial volume, mass, attachment method, assembly, and cost. adhesive or thermal interface material heat sink heat sink clip printed circuit board option bga package
20 PC755/745 2138d?hirel?06/03 adhesives and thermal interface materials figure 8. thermal performance of select thermal interface material a thermal interface material is recommended at the package lid-to-heat sink interface to minimize the thermal contact resistance. fo r those applications where the heat sink is attached by spring clip mec hanism, figure 8 shows the the rmal performance of three thin-sheet thermal-interface materials (silicone, graphite/oil, floroether oil), a bare joint, and a joint with thermal grease as a function of contact pressure. as shown, the perfor- mance of these thermal interface materials improves with increasing contact pressure. the use of thermal grease signi ficantly reduces the interface thermal resistance. that is, the bare joint results in a thermal resistance approximately 7 times greater than the ther- mal grease joint. heat sinks are attached to the package by mean s of a spring clip to holes in the printed- circuit board (see figure 7). this spring force should not exceed 5.5 pounds of force. therefore, the synthetic greas e offers the best thermal performance, considering the low interface pressure. the board designer can choose between severa l types of thermal in terface. heat sink adhesive materials should be selected based upon high conductivity, yet adequate mechanical strength to meet equipm ent shock/vibration requirements. heat sink selection example for preliminary heat sink sizing, the di e-junction temperature can be expressed as follows: t j = t a + t r + ( jc + int + sa ) * p d where : t j is the die-junction temperature t a is the inlet cabinet ambient temperature t r is the air temperature rise within the computer cabinet 0 0.5 1 1.5 2 silicone sheet (0.006 inch) bare joint floroether oil sheet (0.007 inch) graphite/oil sheet (0.005 inch) synthetic grease contact pressure (psi) specific thermal resistance (kin2/w) 0 1020304050607080
21 PC755/745 2138d?hirel?06/03 jc is the junction-to-case thermal resistance int is the adhesive or interface material thermal resistance sa is the heat sink base-to-ambient thermal resistance p d is the power dissipated by the device during operation the die-junction temperatures (t j ) should be maintained less than the value specified in table 5. the temperature of the air cooling the component greatly depends upon the ambient inlet air temperature and the air temperature rise within the electronic cabinet. an electronic cabinet inlet-air temperature (t a ) may range from 30 to 40 c. the air temperature rise within a cabinet (t r ) may be in the range of 5 to 10 c. the thermal resistance of the thermal interface material ( int ) is typically about 1 c/w. assuming a t a of 30 c, a t r of 5 o c, a cbga package jc = 0.03, and a power consump- tion (p d ) of 5.0 watts, the following expression for t j is obtained: die-junction temperature: t j = 30 c + 5 c + (0.03 c/w + 1.0 c/w + sa ) * 5.0 w for a thermalloy heat sink #2328b, the heat sink-to-ambient thermal resistance ( sa ) versus airflow velocity is shown in figure 9. figure 9. thermalloy #2328b heat sink-to-ambient thermal resistance versus air- flow velocity assuming an air velocity of 0. 5 m/s, we have an effective r sa of 7 c/w, thus t j = 30 c+ 5 c+ (0.03 c/w +1.0 c/w + 7 c/w) * 5.0 w, resulting in a die-junction te mperature of approximately 81 c which is well within the maximum operating temperature of the component. other heat sinks offered by chip coolers, ierc, thermalloy, wakefield engineering, and aavid engineering offer different heat si nk-to-ambient thermal resistances, and may or may not need air flow. 1 3 5 7 8 0 0.5 1 1.5 2 2.5 3 3.5 thermalloy #2328b pinfin heat sink approach air velocity (m/s) (25 x28 x 15 mm) 2 4 6 heat sink thermal resistance c/w)
22 PC755/745 2138d?hirel?06/03 though the die junction-to-ambient and the heat sink-to-ambient thermal resistances are a common figure-of-merit used for comparing the thermal performance of various microelectronic packaging te chnologies, one should exercise caution when only using this metric in determining thermal management because no single parameter can ade- quately describe three-dimensional heat flow. the final die-junction operating temperature, is not only a function of the component-level thermal resistance, but the system-level design and its operating conditions. in addition to the component?s power consumption, a number of factors affect the final operating die-junction temperature ? airflow, board population (local heat flux of adjacent components), heat sink efficiency, heat sink attach, heat sink placement, nex t-level interconnect technology, system air temperature rise, altitude, etc. due to the complexity and the many variations of system-level boundary conditions for today?s microelectronic equipment, the combined effects of the heat transfer mecha- nisms (radiation, convection and conduction) may vary widely. for these reasons, we recommend using conjugate heat transfer models for the board, as well as, system-level designs. to expedite system-level thermal analysis, several ?com pact? thermal-package models are available within flotherm ? . these are available upon request. power consideration power management the PC755 provides four power modes, sele ctable by setting the appropriate control bits in the msr and hido registers. the four power modes are as follows: ? full-power: this is the default power state of the PC755. the PC755 is fully powered and the internal functional units operate at the full processor clock speed. if the dynamic power management mode is enabled, functional units that are idle will automatically enter a low-power state wit hout affecting perf ormance, software execution, or external hardware. ? doze: all the functional units of the PC755 are disabled except for the time base/decrementer registers and the bus sno oping logic. when the processor is in doze mode, an external asynchronous inte rrupt, a system management interrupt, a decrementer exception, a hard or soft reset, or machine check brings the PC755 into the full-power state. the PC755 in doze mode maintains the pll in a fully powered state and locked to the system external clock input (sysclk) so a transition to the full-power state take s only a few processor clock cycles. ? nap: the nap mode further reduces power consumption by disabling bus snooping, leaving only the time base register and the pll in a powered state. the PC755 returns to the full-power state upon receipt of an external asynchronous interrupt, a system management interrupt, a decrementer exception, a hard or soft reset, or a machine check input (mcp). a return to full-power state from a nap state takes only a few processor clock cycles. when the processor is in nap mode, if qack is negated, the processor is put in doze mode to support snooping. ? sleep: sleep mode minimizes power consumpt ion by disabling all internal functional units, after which external system logic may disable the ppl and susclk. returning the PC755 to the full-power state requires the enabling of the ppl and sysclk, followed by the assertion of an external asynchronous interrupt, a system management interrupt, a hard or soft rese t, or a machine check input (mcp) signal after the time requir ed to relock the ppl.
23 PC755/745 2138d?hirel?06/03 power dissipation notes: 1. these values apply for all valid processor bus and l2 bus ratios. the values do not include i/o supply power (ov dd and l2ov dd ) or pll/dll supply power (av dd and l2av dd ). ov dd and l2ov dd power is system dependent, but is typically < 10% of v dd power. worst case power consumption for av dd = 15 mw and l2av dd = 15 mw. 2. maximum power is measured at nominal v dd (see table 5) while running an entirely cache-resident, contrived sequence of in structions which keep the execution units maximally busy. 3. typical power is an average value measured at the nominal recommended v dd (see table 5) and 65c in a system while running a typical code sequence. 4. not 100% tested. characterized and periodically sampled. table 10. power consumption for PC755 processor (cpu) frequency unit 300 mhz 350 mhz 400 mhz full-power mode typical (1)(3)(4) 3.1 3.6 5.4 w maximum (1)(2) 4.5 5.3 8 w doze mode maximum (1)(2)(4) 1.8 2 2.3 w nap mode maximum (1)(2)(4) 1 1 1 w sleep mode maximum (1)(2)(4) 550 550 550 mw sleep mode-pll and dll disabled maximum (1)(2) 510 510 510 mw
24 PC755/745 2138d?hirel?06/03 electrical characteristics static characteristics notes: 1. nominal voltages; see table 5 for recommended operating conditions. 2. for processor bus signals, the reference is ov dd while l2ov dd is the reference for the l2 bus signals. 3. excludes test signals (lssd_mode, l1_tstclk, l2_tstclk) and ieee 1 149.1 boundary scan (jtag) signals. 4. capacitance is periodically sampled rather than 100% tested. 5. the leakage is measured for nominal ov dd and v dd , or both ov dd and v dd must vary in the same direction (for example, both ov dd and v dd vary by either +5% or - 5%). dynamic characteristics after fabrication, parts are sorted by maximum processor core frequency as shown in the ?clock ac specifications? section on page 25 and tested for conformance to the ac specifications for that frequency. these s pecifications are for 275, 300, 333 mhz pro- cessor core frequencies. the processor core frequency is determined by the bus (sysclk) frequency and the settings of the pll_cfg[0-3] signals. parts are sold by maximum processor core frequency. table 11. dc electrical specificati ons at recommended operating conditions (see table 5) characteristic nominal bus voltage (1) symbol min max unit input high voltage (all inputs except syslck) (2)(3) 2.5 v ih 1.6 (l2)ov dd + 0.3 v 3.3 v ih 2 (l2)ov dd + 0.3 v input low voltage (all inputs except syslck) (2) 2.5 v il -0.3 0.6 v 3.3 v il -0.3 0.8 v sysclk input high voltage 2.5 kv ih 1.8 ov dd + 0.3 v 3.3 kv ih 2.4 ov dd + 0.3 v sysclk input low voltage 2.5 kv il -0.3 0.4 v 3.3 kv il -0.3 0.4 v input leakage current, (2)(3) v in = l2ov dd /ov dd i in ? 10 a hi-z (off-state) leakage current, (2)(3)(5) v in = l2ov dd /ov dd i tsi ? 10 a output high voltage, i oh = - 6 ma 2.5 v oh 1.7 ? v 3.3 v oh 2.4 ? v output low voltage, i ol = 6 ma 2.5 v ol ? 0.45 v 3.3 v ol ? 0.4 v capacitance, v in = 0v, f = 1 mhz (3)(4) c in ? 5 pf
25 PC755/745 2138d?hirel?06/03 clock ac specifications table 12 provides the clock ac timing specifications as defined in table 3. notes: 1. caution: the sysclk frequency and pll_cfg[0 - 3] settings must be chosen such th at the resulting sysclk (bus) fre- quency, cpu (core) frequency, and pll (v co) frequency do not exceed their respective maximum or minimum operating frequencies. refer to the pll_cfg[0 - 3] signal description in table 18,? for valid pll_cfg[0 - 3] settings 2. rise and fall times measurements are now specified in terms of slew rates, rather than time to account for selectable i/o bus interface levels. the minimum slew rate of 1v/ns is equivalent to a 2ns maximum rise/fall time measured at 0.4v and 2.4v or a rise/fall time of 1ns measured at 0.4v to 1.4v. 3. timing is guaranteed by design and characterization. 4. this represents total input jitter ? short te rm and long term combined and is guaranteed by design. 5. relock timing is guaranteed by design and characterization. pll - relock time is the maximum amount of time required for pll lock after a stable v dd and sysclk are reached during the power - on reset sequence. this specification also applies when the pll has been disabled and subsequently re - enabled during sleep mode. also note that hreset must be held asserted for a minimum of 255 bus clocks after the pll - relock time during the power - on reset sequence. figure 10 provides the sysc lk input timing diagram. figure 10. sysclk input timing diagram table 12. clock ac timing specifications at recomme nded operating conditions (see table 5) characteristic symbol maximum processor core frequency unit 300 mhz 350 mhz 400 mhz min max min max min max processor frequency (1) f core 200 300 200 350 200 400 mhz vco frequency (1) f vco 400 600 400 700 400 800 mhz sysclk frequency (1) f sysclk 25 100 25 100 25 100 mhz sysclk cycle time t sysclk 10 40 10 40 10 40 ns sysclk rise and fall time (2) t kr & t kf ? 2 ? 2 ? 2 ns t kr & t kf ? 1.4 ? 1.4 ? 1.4 ns sysclk duty cycle measured at ov dd /2 (3) t khkl /t sysclk 40 60 40 60 40 60 % sysclk jitter (3)(4) ? 150 ? 150 ? 150 ps internal pll relock time (3)(5) ? 100 ? 100 ? 100 s s ysclk vm vm vm kv ih kv il vm = midpoint voltage (ov dd /2 ) t sysclk t kr t kf t khkl
26 PC755/745 2138d?hirel?06/03 processor bus ac specifications table 13 provides the processor bus ac timi ng specifications for the PC755 as defined in figure 11 and figure 13. timing specificat ions for the l2 bus are provided in section ?l2 clock ac specifications? page 28. notes: 1. all input specifications are measured from the midpoint of the signal in questi on to the midpoint of the rising edge of the input sysclk. all output specifications are measur ed from the midpoint of the rising edg e of sysclk to the midpoint of the sig- nal in question. all output timings assume a purely resistive 50 ? load (see figure 11). input and output timings are measured at the pin; time - of - flight delays must be added for trace lengt hs, vias, and connectors in the system. 2. the symbology used for timing specifications herein follows the pattern of t (signal)(state)(reference)(state) for inputs and t (reference)(state)(signal)(state) for outputs. for example, t ivkh symbolizes the time input signals (i ) reach the valid state (v) relative to the sysclk reference (k) going to the high (h) state or input setup time. and t khov symbolizes the time from sysclk(k) going highs) until outputs (o) are valid (v) or output valid time. input hold time can be read as the time that the input signa l (i) went invalid (x) with respect to the rising clock edge (kh) - note the position of the reference and its state for inputs ? and output hold time can be read as the time from the rising edge (kh) until the output went inva lid (ox). for additional explana- tion of ac timing specifications in motorola powerpc micr oprocessors, see the application note ?understanding ac timing specifications for powerpc microprocessors.? 3. the setup and hold time is with re spect to the rising edge of hreset (see figure 11). 4. this specification is for configuration mode select on ly. also note that the hreset must be held asse rted for a minimum of 255 bus clocks after the pll re - lock time during the power - on reset sequence. 5. t sysclk is the period of the external clock (sysclk) in nanoseconds (ns). the numbers given in the table must be multiplied by the period of sysclk to compute the actu al time duration (in nanoseconds) of the paramete r in question. 6. mode select signals are bvsel, l2vsel, pll_cfg[0 - 3] 7. guaranteed by design and characterization. 8. bus mode select pins must remain stable during operation. changing the logic states of bvsel or l2vsel during operation will cause the bus mode voltage selection to change. changing the logic states of the pl l_cfg pins during operation will cause the pll division ratio selection to change. both of t hese conditions are considered outside the specification and are not supported. once hreset is negated the states of the bus mode selection pins mu st remain stable. figure 11 provides the mode select input timing diagram for the PC755. figure 11. mode input timing diagram table 13. processor bus mode selection ac timing specifications (1) at v dd = a v dd = 2.0v 100 mv; -55 t j +125 c, ov dd = 3.3v 165 mv and ov dd = 1.8v 100 mv and ov dd = 2.0v 100 mv parameter symbols (2) all speed grades unit min max mode select input setup to hreset (3)(4)(5)(6)(7) t mvrh 8 ? t sysclk hreset to mode select input hold (3)(4)(6)(7)(8) t mxrh 0 ? ns hrese t mode signal s vm = midpoint voltage (ov dd /2) vm t mvrh t mxrh
27 PC755/745 2138d?hirel?06/03 figure 12 provides the ac test load for the PC755. figure 12. ac test load notes: 1. revisions prior to rev 2.8 (rev e) were limited in perfo rmance and did not conform to this specification. contact your local motorola sales office for more information. 2. guaranteed by design and characterization. 3. t sysclk is the period of the external clock (sysclk) in nanosecond s (ns). the numbers given in the table must be multiplied by the period of sysclk to comp ute the actual ti me duration (in ns) of th e parameter in question. 4. per the 60x bus protocol, ts , abb and dbb are driven only by the currently active bus master. they are asserted low then precharged high before returning to high-z as s hown in figure 6. the nominal precharge width for ts , abb or dbb is 0.5 x t sysclk , i.e. less than the minimum t sysclk period, to ensure that ano ther master asserting ts , abb , or dbb on the following clock will not contend with the precharge. ou tput valid and output hold timing is te sted for the signal asserted. output valid time is tested for precharge.the high- z behavior is guaranteed by design. 5. per the 60x bus protocol, artry can be driven by multiple bus masters through the clock period immediately following aack . bus contention is not an issue since any master asserting artry will be driving it low. any master asserting it low in the first clock following aack will then go to high-z for one clock before precharging it high during the second cycle after th e assertion of aack . the nominal precharge width for artry is 1.0 t sysclk ; i.e., it should be high-z as shown in figure 6 before the first opportunity for a nother master to assert artry . output valid and output hold timing is tested for the signal asserted. output valid time is tested for precharge. the high-z and precha rge behavior is guaranteed by design. ov dd /2 output z 0 = 50 ? r l = 50 ? table 14. processor bus ac timing specifications (1) at recommended operating conditions parameter symbols all speed grades unit min max setup times: all inputs t ivkh 2.5 ? ns input hold times: tlbisync , mcp , smi t ixkh 0.6 ? ns input hold times: all inputs, except tlbisync , mcp , smi t ixkh 0.2 ? ns valid times: all outputs t khov ? 4.1 ns output hold times: all outputs t khox 1 ? ns sysclk to output enable (2) t khoe 0.5 ? ns sysclk to output high impedance (all except abb , artry , dbb ) (2) t khoz ? 6 ns sysclk to abb , dbb high impedance after precharge (2)(3)(4) t khabpz ? 1 t sysclk maximum delay to artry precharge (2)(3)(5) t kharp ? 1 t sysclk sysclk to artry high impedance after precharge (2)(3)(5) t kharpz ? 2 t sysclk
28 PC755/745 2138d?hirel?06/03 figure 13 provides the input/outp ut timing diagram for the PC755. figure 13. input/output timing diagram l2 clock ac specifications the l2clk frequency is programmed by the l2 configuration register (l2cr[4:6]) core-to-l2 divisor ratio. see table 15 for example core and l2 frequencies at various divisors. table 15 provides the potential rang e of l2clk output ac timing specifications as defined in figure 14. the minimum l2clk frequency of table 15 is specified by the maximum delay of the internal dll. the variable-tap dll introduces up to a full clock period delay in the l2clkouta, l2clko utb, and l2sync_out signals so that the returning l2sync_in signal is phase aligned with the ne xt core clock (divided by the l2 divisor ratio). do not choose a core-to-l2 divisor which results in an l2 frequency below this minimum, or the l2clkout signals provi ded for sram clocking will not be phase aligned with the PC755 core clock at the srams. the maximum l2clk frequency shown in table 15 is the core frequency divided by one. very few l2 sram designs will be able to operate in th is mode. most designs will select a greater core-to-l2 divisor to provide a longer l2clk period for read and write access to the l2 srams. the maximum l2 clk frequency for any application of the PC755 will be a function of the ac timings of the PC755, th e ac timings for the sram, bus loading, and printed circuit board trace length. sysclk all inputs vm vm = midpoint voltage (ov dd /2 or v in /2 ) all output s vm (except ts , abb, artr y, d b b ) ts ,abb,db b artr y vm t ivkh t ixkh t khoe t khov t khox t khabpz t khov t khox t khoz t kharpz t khov t khox t kharp t khov t khoz
29 PC755/745 2138d?hirel?06/03 motorola is similarly limited by system constraints and cannot perform tests of the l2 interface on a socketed part on a functional tester at the maximum frequencies of table 15. therefore functional operation and ac timing information are tested at core-to-l2 divisors of 2 or greater. functi onality of core-to-l2 divisors of 1 or 1.5 is verified at less than maximum rated frequencies. l2 input and output signals are latched or enab led respectively by the internal l2clk (which is sysclk multiplied up to the core frequency and divided down to the l2clk frequency). in other words, the ac timings of table 16 and table 17 are entirely inde- pendent of l2sync_in. in a closed loop system, where l2sync_in is driven through the board trace by l2sync_out, l2sync_ in only controls the output phase of l2clkouta and l2clkoutb which are used to latch or enable data at the srams. however, since in a closed loop system l2sync_in is held in phase alignment with the internal l2clk, the signals of table 16 and table 17 are referenced to this signal rather than the not-externally-visible internal l2clk. during ma nufacturing test, these times are actually measured relative to sysclk. the l2sync_out signal is intended to be routed halfway out to the srams and then returned to the l2sync_in input of the PC755 to synchronize l2clkout at the sram with the processor?s internal clock. l2clko ut at the sram can be offset forward or backward in time by shortening or lengthening the routing of l2sync_out to l2sync_in. see motorola application note an179/d ?powerpc ? backside l2 timing analysis for the pcb design engineer.? the l2clkouta and l2clkoutb signals should not have more than two loads. notes: 1. l2clk outputs are l2clk_outa, l2clk_outb, l2clk_ out and l2sync_out pins. the l2clk frequency to core fre- quency settings must be chosen so that the resulting l2cl k frequency and core frequency do not exceed their respective maximum or minimum operating frequencies. the maxi mum l2lck frequency will be system dependent. l2clk_outa and l2clk_outb must have equal loading. 2. the nominal duty cycle of the l2clk is 50% measur ed at midpoint voltage. 3. the dll re - lock time is specified in terms of l2clks. the number in the table must be multiplied by the period of l2clk to compute the actual time duration in nanoseconds. re - lock timing is guaranteed by design and characterization. 4. the l2cr[l2sl] bit should be set for l2clk frequencies less t han 110 mhz. this adds more delay to each tap of the dll. 5. allowable skew between l2sync_out and l2sync_in. 6. this output jitter number represents the maximum delay of one tap forward or one tap back from the current dll tap as the phase comparator seeks to minimize the phase difference between l2sync_in and the internal l2clk. this number must be comprehended in the l2 timing analysis. the input jitter on sysclk affects l2clkout and the l2 address/data/control signals equally and therefore is already comprehended in the ac timing and does not have to be considered in the l2 timing analysis. 7. guaranteed by design. table 15. l2clk output ac timing specification. at v dd = a v dd = 2.0v 100 mv; -55 t j +125 c, ov dd = 3.3v 165 mv and ov dd = 1.8v 100 mv and ov dd = 2.0v 100 mv parameter symbols all speed grades unit min max l2clk frequency (1)(4) f l2clk 80 450 mhz l2clk cycle time t l2clk 2.5 12.5 ns l2clk duty cycle (2)(7) t chcl /t l2clk 45 55 % internal dll - relock time (3)(7) ? 640 ? l2clk dll capture window (5)(7) ? 0 10 ns l2clkout output - to - output skew (6)(7) t l2cskw ? 50 ps l2clkout output jitter (6)(7) ? ? 150 ps
30 PC755/745 2138d?hirel?06/03 the l2clk_out timing diagram is shown in figure 14. figure 14. l2clk_out output timing diagram l2 bus input ac specifications table 16 provides the l2 bus interface ac timing specifications for the PC755 as defined in figure 15 and figure 16 for the loading conditions described in figure 17. vm = midpoint voltage (l2ovdd/2) l2clk_outa l2clk_outb l2 differential clock mode l2 single-ended clock mode l2sync_out l2clk_out a vm t l2cr t l2cf vm vm vm l2clk_out b vm vm vm vm vm l2sync_ out vm vm vm vm vm vm vm vm t l2cskw t l2clk t l2clk t chcl t chcl table 16. l2 bus interface ac timing specificatio ns at recommended operating conditions parameter symbol all speed grades unit min max l2sync_in rise and fall time (1) t l2cr & t l2cf ? 1.0 ns setup times: data and parity (2) t dvl2ch 1.2 - ns input hold times: data and parity (2) t dxl2ch 0 - ns valid times: (3)(4) all outputs when l2cr[14-15] = 00 all outputs when l2cr[14-15] = 01 all outputs when l2cr[14-15] = 10 all outputs when l2cr[14-15] = 11 t l2chov - - - - 3.1 3.2 3.3 3.7 ns output hold times: (3) all outputs when l2cr[14-15] = 00 all outputs when l2cr[14-15] = 01 all outputs when l2cr[14-15] = 10 all outputs when l2cr[14-15] = 11 t l2chox 0.5 0.7 0.9 1.1 - - - - ns l2sync_in to high impedance: (3)(5) all outputs when l2cr[14-15] = 00 all outputs when l2cr[14-15] = 01 all outputs when l2cr[14-15] = 10 all outputs when l2cr[14-15] = 11 t l2choz - - - - 2.4 2.6 2.8 3.0 ns
31 PC755/745 2138d?hirel?06/03 notes: 1. rise and fall times for the l2sync_in i nput are measured from 20% to 80% of l2ov dd . 2. all input specifications are measured from the midpoint of the signal in question to the midpoint voltage of the rising edge of the input l2sync_in (see figure 8). i nput timings are measured at the pins. 3. all output specifications are m easured from the midpoint voltage of the rising edge of l2sync_in to the midpoint of the sig- nal in question. the output timings ar e measured at the pins. all output timings assume a purely resistive 50 ? load (see figure 10). 4. the outputs are valid for both single-e nded and differential l2clk modes. for pipelined registered synchronous bur- strams, l2cr[14 - 15] = 01 or 10 is recommended. for pipelined late write synchronous burstrams, l2cr[14 - 15] = 11 is recommended. 5. guaranteed by design and characterization. 6. revisions prior to rev 2.8 (rev e) were limited in performanc e.and did not conform to this specification. contact your local atmel sales office for more information. figure 15 shows the l2 bus input timing diagrams for the PC755. figure 15. l2 bus input timing diagrams figure 16 shows the l2 bus output timing diagrams for the PC755. figure 16. l2 bus output timing diagrams figure 17 provides the ac test load for l2 interface of the PC755. figure 17. ac test load for the l2 interface l2sync_ in l2 data and dat a vm vm = midpoint voltage (l2ov dd /2) t dvl2ch t dxl2ch t l2cr t l2cf parity inputs l2sync_in all output s vm vm = midpoint voltage (l2ov dd /2 ) vm l2data bus t l2chox t l2choz t l2chov output l2ovdd/2 r l = 50 ? z 0 = 50 ?
32 PC755/745 2138d?hirel?06/03 ieee 1149.1 ac timing specifications timing specifications table 17 provides the ieee 1 149.1 (jtag) ac timing specif ications as defined in figure 18, figure 19, figure 20, and figure 21. notes: 1. all outputs are measured from the midpoint voltage of the falling/rising edge of tclk to the midpoint of the signal in ques- tion. the output timings are measured at the pins . all output timings assume a purely resistive 50 ? load (see figure 18). time - of - flight delays must be added for trace le ngths, vias, and connectors in the system. 2. trst is an asynchronous level sensitive signal. the setup time is for test purposes only. 3. non - jtag signal input timing with respect to tck. 4. non - jtag signal output timing with respect to tck. 5. guaranteed by design and characterization. figure 18 provides the ac test load for td o and the boundary-scan outputs of the PC755. figure 18. alternate ac test load for the jtag interface table 17. jtag ac timing specificat ions (independ ent of sysclk) (1) parameter symbol min max unit tck frequency of operation f tclk 0 16 mhz tck cycle time f tclk 62.5 - ns tck clock pulse width measured at 1.4v t jhjl 31 - ns tck rise and fall times t jr & t jf 0 2 ns trst assert time (2) ttrst 25 - ns input setup times: (3) boundary - scan data tms, tdi t dvjh t ivjh 4 0 - - ns input hold times: (3) boundary - scan data tms, tdi t dxjh t ixjh 15 12 - - ns valid times: (4) boundary - scan data tdo t jldv t jlov - - 4 4 ns output hold times: (4) boundary - scan data tdo t jldv t jlov 25 12 - - ns tck to output high impedance: (4)(5) boundary - scan data tdo t jldz t jloz 3 3 19 9 ns ov dd /2 output z 0 = 50 ? r l = 50 ?
33 PC755/745 2138d?hirel?06/03 figure 19 provides the jtag clock input timing diagram. figure 19. jtag clock input timing diagram figure 20 provides the trst timing diagram. figure 20. trst timing diagram figure 21 provides the boundary-scan timing diagram. figure 21. boundary-scan timing diagram tclk vm vm vm vm = midpoint voltage (ov dd /2 ) t tclk t jr t jf t jhjl trst t trst vm = midpoint voltage (ov dd /2) vm vm vm vm tck boundary boundary boundary data outputs data inputs data outputs vm = midpoint voltage (ov dd /2 ) t dxjh t dvjh t jldv t jldz input data vali d output t jldh data valid output data valid
34 PC755/745 2138d?hirel?06/03 figure 22 provides the test access port timing diagram. figure 22. test access port timing diagram jtag configuration signals boundary scan testing is enabled throug h the jtag interface signals. the trst signal is optional in the ieee 1149.1 specification, but is provided on all processors that imple- ment the powerpc architecture. while it is possible to force the tap controller to the reset state using only the tck and tms signals, more reliable power-on reset perfor- mance will be obtained if the trst signal is asserted during power-on reset. because the jtag interface is also used for accessing the common on-chip processor (cop) function, simply tying trst to hreset is not practical. the cop function of these processors allows a remote computer system (typically, a pc with dedicated hardware and debugging software) to access and control the internal operations of the processor. the cop in terface connects primarily through the jtag port of the processor, with some additional status monitoring signals. the cop port requires the ability to i ndependently assert hreset or trst in order to fully control the processor. if the target system has independent reset sources, such as voltage moni- tors, watchdog timers, power supply failures , or push-button switches, then the cop reset signals must bemerged into these signals with logic. the arrangement shown in figure 23 allows the cop port to independently assert hreset or trst , while ensuring that t he target can drive hreset as well. if the jtag interface and cop header will not be used, trst should be tied to hreset through a 0 ? isolation resistor so that it is asserted when th e systemreset signal (hreset ) is asserted ensuring that the jtag scan chai n is initialized during power-on. while motor- ola recommends that the cop header be designed into the system as shown in figure 23, if this is not possible, the isolation resistor will allow future access to trst in the casewhere a jtag interfacemay need to be wired onto the system in debug situations. the cop header shown in figure 23 adds many benefits ? breakpoints, watchpoints, register and memory examination/modifi cation, and other standard debugger features are possible through this interface ? and ca n be as inexpensive as an unpopulated footprint for a header to be added when needed. the cop interface has a standard header for connection to the targ et system, based on the 0.025" square-post 0.100" centered header assembly (often called a berg header). the connector typically has pin 14 removed as a connector key. tck tdi, tms tdo vm = midpoint voltage (ov dd /2) tdo vm vm t ixjh t ivjh t jlov t jloz input data vali d output t jloh data valid output data valid
35 PC755/745 2138d?hirel?06/03 figure 23. jtag interface connection notes: 1. run/stop , normally found on pin 5 of the cop header, is not im plemented on the PC755. connect pin 5 of the cop header to ov dd with a 10 k ? pull-up resistor. 2. key location; pin 14 is not physically present on the cop header. 3. component not populated. populate only if debug tool does not drive qack . 4. populate only if debug tool uses an open-drain ty pe output and does not actively deassert qack . 5. if the jtag interface is implemented, connect hreset from the target source to trst from the cop header though an and gate to trst of the part. if the jtag interfac e is not implemented, connect hreset fromthe target source to trst of the part through a 0 ? isolation resistor. hreset hreset from target board source s 13 sreset sreset sreset nc nc 11 vdd_ sense 6 5 1 15 2k ? 10 k ? 10 k ? 10 k ? ov dd ov dd ov dd ov dd chkstp_i n chkstp_ in 8 tms tdo tdi tck tms tdo tdi tck 9 1 3 4 tr st 7 16 2 10 12 (if any) cop header 14 2 key qack ov dd ov dd 10 k ? ov dd trst 10 k ? ov dd 10 k ? 10 k ? qack qa ck chkstp_ou t chkstp_ou t 3 13 9 5 1 6 10 2 15 11 7 16 12 8 4 key no pin cop connector physical pin ou t 10 k ? 4 ov dd 1 2k ? 3 0 ? 5 hreset
36 PC755/745 2138d?hirel?06/03 the cop header shown in figure 24 adds many benefits?breakpoints, watchpoints, register and memory examination/modifi cation and other standard debugger features are possible through this interface ? and can be as inexpensive as an unpopulated foot- print for a header to be added when needed. system design information the cop interface has a standard header for connection to the targ et system, based on the 0.025? square-post 0.100? centered header assembly (often called a ?berg? header). the connector typically has pin 14 removed as a connector key. figure 24 shows the cop connector diagram. figure 24. cop connector diagram there is no standardized way to number the cop header shown in figure 24; conse- quently, many different pin numbers have been observed from emulator vendors. some are numbered top-to-bottom then left-to-right, while others use left-to-right then top-to- bottom, while still others number the pins counter clockwise from pin one (as with an ic). regardless of the numbering, the signal plac ement recommended in figure 24 is com- mon to all known emulators. the qack signal shown in table 17 is usually hooked up to the pci bridge chip in a system and is an input to the PC755 informing it that it can go into the quiescent state. under normal operation this occurs during a low power mode selection. in order for cop to work the PC755 must see this si gnal asserted (pulled down). while shown on the cop header, not all emulator products driv e this signal. to preserve correct power down operation, qack should be merged so that it also can be driven by the pci bridge. 3 ck stp_out 13 9 5 1 6 10 2 top view 15 11 7 16 12 8 4 key no pi n hreset sreset tm s run/st op tck tdi tdo ground trst vdd_sense pins 10, 12 and 14 are no-connects. pin 14 is not physically pres ent qack chkstp_ in
37 PC755/745 2138d?hirel?06/03 preparation for delivery packaging microcircuits are prepared for deliv ery in accordance with mil-prf-38535. certificate of compliance atmel offers a certificate of compliances wit h each shipment of parts, affirming the prod- ucts are in compliance either with mil-prf-883 and guarantying the parameters not tested at temperature extremes for the entire temperature range. handling mos devices must be handled with certain precautions to avoid damage due to accu- mulation of static charge. input protection devices have been designed in the chip to minimize the effect of static buildup. however, the following handling practices are recommended: 1. devices should be handled on benches with conductive and grounded surfaces. 2. ground test equipment, tools and operator. 3. do not handle devices by the leads. 4. store devices in conductive foam or carriers. 5. avoid use of plastic, rubber, or silk in mos areas. 6. maintain relative humidity above 50 percent if practical. 7. for ci-cga packages, use specific tray to take care of the highest height of the package compared with the normal cbga. package mechanical data the following sections provide the package parameters and mechanical dimensions for the pc745, 255 pbga package as well as the PC755, 360 cbga and pbga packages. while both the PC755 plastic and the cera mic packages are described here, both pack- ages are not guaranteed to be available at the same time. all new designs should allow for either ceramic or plastic bga packages for this device. for more information on designing a common footprint for both plastic and ceramic package types, please con- tact your local moto rola sales office. parameters for the pc745 package parameters for the pc745 pbga the package parameters are as provided in the following list. the package type is 21 x 21 mm, 255-lead plastic ball grid array (pbga). mechanical dimensions of the pc745 pbga package figure 25 provides the mechanical dimensi ons and bottom surface nomenclature of the pc745, 255 pbga package. package outline 21 x 21 mm interconnects 255 (16 x 16 ball array ? 1) pitch 1.27 mm (50 mil) minimum module height 2.25 mm maximum module height 2.80 mm ball diameter (typical) 0.75 mm (29.5 mil)
38 PC755/745 2138d?hirel?06/03 figure 25. mechanical dimensions and bottom surf ace nomenclature of the pc745 pbga parameters for the PC755 pbga package parameter for the PC755 pbga the package parameters are as provided in the following list. the package type is 25 x 25 mm, 360-lead plastic ball grid array (pbga). package outline 25 x 25 mm interconnects 360 (19 x 19 ball array ? 1) pitch 1.27 mm (50 mil) minimum module height 2.22 mm maximum module height 2.77 mm ball diameter 0.75 mm (29.5 mil) m table 1 millimeters dim min max a 2.25 2.80 a1 0.50 0.70 a2 1.00 1.20 b 0.60 0.90 d 21.00 bsc e 21.00 bsc e 1.27 bsc 0. 2 d 2x a1 corner e 0. 2 b a a a1 a2 c 0.15 c b c 255x e 12345678910111213141516 a b c d e f g h j k l m n p r t a 0. 3 c 0.15 b notes: 1. dimensioning and tolerancing per asme y14.5m, 1994. 2. dimensions in millimeters. 3. top side a1 corner index is a metalized feature with various shapes. bottom side a1 corner is designated with a ball missing from the array. 4. capacitor pads may be unpopulated.
39 PC755/745 2138d?hirel?06/03 mechanical dimensions of the PC755 pbga figure 26 provides the mechanical dimensi ons and bottom surface nomenclature of the PC755, 360 pbga package. figure 26. mechanical dimensions and bottom surf ace nomenclature of the PC755 pbga c notes: a. dimensioning and tolerancing per asme y14.5m, 1994. b. dimensions in millimeters. c. top side a1 corner index is a metalized feature with various shapes. bottom side a1 corner is designated with a ball missing from the array . 0.2 b c 360x d 2x a1 corner e e 0.2 2x b a 1 234567891 0 111213141516 a b c d e f g h j k l m n p r t a 0.3 c 0.15 b a a1 a2 0.15 c 171819 u w v m millimeters dim min max a 2.22 2.77 a1 0.50 0.70 a2 1.00 1.20 b 0.60 0.90 d 25.00 bsc e 25.00 bsc e 1.27 bsc
40 PC755/745 2138d?hirel?06/03 mechanical dimensions of the PC755 cbga package figure 28 provides the mechanical dimensi ons and bottom surface nomenclature of the PC755, 360 cbga package. figure 27. mechanical dimensions and bottom su rface nomenclature of PC755 (cbga) notes: 1. dimensioning and tolerancing per asme y14.5m, 1994. 2. dimensions in millimeters. 3. top side a1 corner index is a metalized feature with various shapes. bottom side a1 corner is designated with a ball missing from the array. b c 360x e 12345678910111213141516 a b c d e f g h j k l m n p r t a 0.3 c 0.15 b a a1 a2 c c 171819 u w v millimeters dim min max a 2.65 3.20 a1 0.79 0.99 a2 1.10 1.30 a3 ?0.60 b 0.82 0.93 d 25.00 bsc d1 6.75 e 25.00 bsc e1 7.87 e 1.27 bs c 0.2 d 2x a1 corne r e 0.2 2x a e1 d1 a3 1 0.15
41 PC755/745 2138d?hirel?06/03 mechanical dimensions of the PC755 hitce package figure 28 provides the mechanical dimensi ons and bottom surface nomenclature of the PC755, 360 hitce package. figure 28. mechanical dimensions and bottom surf ace nomenclature of PC755 (hitce) b c 360x e 12345678910111213141516 a b c d e f g h j k l m n p r t a 0.3 c 0.15 b a a1 a2 c 0.15 c 171819 u w v millimeters dim min max a 2.65 3.24 a1 0.79 0.99 a2 1.10 1.30 a3 ?0.60 b 0.82 0.93 d 25.00 bsc d1 6.75 e 25.00 bsc e1 7.87 e 1.27 bs c 0.2 d 2x a1 corne r e 0.2 2x a e1 d1 a3 1 notes: 1. dimensioning and tolerancing per asme y14.5m, 1994. 2. dimensions in millimeters. 3. top side a1 corner index is a metalized feature with various shapes. bottom side a1 corner is designated with a ball missing from the array.
42 PC755/745 2138d?hirel?06/03 mechanical dimensions of the PC755 ci-cga package figure 29 provides the mec hanical dimensions and bottom surface nomenclature of PC755, 360 ci-cga package figure 29. mechanical dimensions and bottom surf ace nomenclature of PC755 (ci-cga) clock relationship choices the PC755?s pll is configured by the pll_cfg[0-3] signals. for a given sysclk (bus) frequency, the pll conf iguration signals set the internal cpu and vco frequency of operation. the pll configuration for t he PC755 is shown in figure 31 for example frequencies. b c 360x e 12345678910111213141516 a b c d e f g h j k l m n p r t a 0.3 c 0.15 b a a4 a2 171819 u w v millimeters dim min max a 4.04 bsc a1 a2 1.10 1.30 1.545 1.695 a3 ?0.60 b 0.79 0.990 d 25.00 bsc d1 6.75 e 25.00 bsc e1 7.87 e 0.2 d 2x a1 corne r e 0.2 2x a e1 d1 a3 a1 a6 a5 a4 0.82 0.9 a5 0.10 bsc a6 0.25 0.35 1.27 bsc notes: 1. dimensioning and tolerancing per asme y14.5m, 1994. 2. dimensions in millimeters. 3. top side a1 corner index is a metalized feature with various shapes. bottom side a1 corner is designated with a ball missing from the array. 360 x a 0.15
43 PC755/745 2138d?hirel?06/03 notes: 1. pll_cfg[0:3] settings not listed are reserved. 2. the sample bus-to-core frequencies shown are for reference onl y. some pll configurations ma y select bus, core, or vco frequencies which are not useful, not supported, or not test ed for by the PC755; see section ?clock ac specifications? page 25 for valid sysclk, co re, and vco frequencies. 3. in pll-bypass mode, the sysclk input signal clocks the internal processor directly, th e pll is disabled, and the bus mode is set for 1:1 mode operation. this mode is inte nded for factory use and emulator tool use only. note: the ac timing specifications given in this document do not apply in pll-bypass mode. 4. in pll off mode, no clocking occurs inside the PC755 regardless of the sysclk input. table 18. PC755 microprocessor pll configuration pll_cfg [0-3] example bus-to-core frequency in mhz (vco frequency in mhz) bus-to-core multiplier core-to vco multiplier bus 33 mhz bus 50 mhz bus 66 mhz bus 75 mhz bus 80 mhz bus 100 mhz 0100 2x 2x - - - - - 200 (400) 1000 3x 2x - - 200 (400) 225 (450) 240 (480) 300 (600) 1110 3.5x 2x - - 233 (466) 263 (525) 280 (560) 350 (700) 1010 4x 2x - 200 (400) 266 (533) 300 (600) 320 (640) 400 (800) 0111 4.5x 2x - 225 (450) 300 (600) 338 (675) 360 (720) - 1011 5x 2x - 250 (500) 333 (666) 375 (750) 400 (800) - 1001 5.5x 2x - 275 (550) 366 5733 - - - 1101 6x 2x 200 (400) 300 (600) 400 (800) - - - 0101 6.5x 2x 216 (433) 325 (650) - - - - 0010 7x 2x 233 (466) 350 (700) - - - - 0001 7.5x 2x 250 (500) 375 (750) - - - - 1100 8x 2x 266 (533) 400 (800) - - - - 0110 10x 2x 333 (666) - - - - - 0011 pll off/bypass pll off, sysclk clocks core circui try directly, 1x bus-to-core implied 1111 pll off pll off, no core clocking occurs
44 PC755/745 2138d?hirel?06/03 the PC755 generates the clock for the exte rnal l2 synchronous data srams by divid- ing the core clock frequency of the PC755. the divided-down clock is then phase- adjusted by an on-chip delay -lock-loop (dll) circuit and should be routed from the PC755 to the external rams. a separate clock output, l2sync_out is sent out half the distance to the srams and then returned as an input to the dll on pin l2sync_in so that the rising-edge of the clock as seen at the external rams can be aligned to the clocking of the internal latches in the l2 bus interface. the core-to-l2 frequency divisor for the l2 pll is selected through the l2clk bits of the l2cr register. generally, the divisor must be chosen according to the frequency supported by the external rams, the frequency of the PC755 core, and the phase adjustment range that the l2 dll supports. figure 18 shows various example l2 clock frequencies that can be obtained for a given set of core frequencies. the minimum l2 frequency target is 80 mhz. note: the core and l2 frequencies are for reference only. some examples may repre- sent core or l2 frequencies which are not useful, not supported, or not tested for by the PC755; see section ?l2 cloc k ac specifications? page 28 for valid l2clk frequencies. the l2cr[l2sl] bit should be set for l2clk frequencies less than 110 mhz. system design information pll power supply filtering the av dd and l2av dd power signals are provided on the PC755 to provide power to the clock generation phase-locked loop and l2 cac he delay-locked loop respectively. to ensure stability of the internal cl ock, the power supplied to the av dd input signal should be filtered of any noise in the 500 khz to 10 mhz resonant frequency range of the pll. a circuit similar to the one shown in figure 31 using surface mount capacitors with mini- mum effective series inductance (esl) is recommended. consistent with the recommendations of dr. howard johnson in high speed digital design: a handbook of black magic (prentice hall, 1993), multiple small capacitors of equal value are recom- mended over a single large value capacitor. table 19. sample core-to-l2 frequencies core frequency in mhz 1 1.5 2 2.5 3 250 250 166 125 100 83 266 266 177 133 106 89 275 275 183 138 110 92 300 300 200 150 120 100 325 325 217 163 130 108 333 333 222 167 133 111 350 350 233 175 140 117 366 366 244 183 146 122 375 375 250 188 150 125 400 400 266 200 160 133
45 PC755/745 2138d?hirel?06/03 the circuit should be placed as close as possible to the av dd pin to minimize noise cou- pled from nearby circuits. an identical but separate circuit should be placed as close as possible to the l2av dd pin. it is often possible to route di rectly from the capacitors to the av dd pin, which is on the periphery of the 360 bga footprint, without the inductance of vias. the l2av dd pin may be more difficult to route but is proportionately less critical. figure 30. pll power supply filter circuit power supply voltage sequencing the notes in figure 32 contain cautions about the sequencing of the external bus volt- ages and core voltage of the PC755 (when they are different). these cautions are necessary for the long term reliability of the par t. if they are violated, the esd (electro- static discharge) protection diodes will be forward biased and excessive current can flow through these diodes. if the system power supply design does not control the volt- age sequencing, the circuit of figure 32 can be added to meet these requirements. the mur420 schottky diodes of figure 32 co ntrol the maximum potential difference between the external bus and core power supplies on power-up and the 1n5820 diodes regulate the maximum potential difference on power-down. figure 31. example voltage sequencing circuit decoupling recommendations due to the PC755?s dynamic power management feature, large address and data buses, and high operating frequencies, the PC755 can generate transient power surges and high frequency noise in its power supply, especially while driving large capacitive loads. this noise must be prevented from reaching other components in the PC755 sys- tem, and the PC755 itself requires a clean, tightly regulated source of power. therefore, it is recommended that the system designer place at least one de coupling capacitor at each v dd , o v dd , and l2ov dd pin of the PC755. it is al so recommended that these decoupling capacitors receive their power from separate v dd , (l2)ov dd and gnd power planes in the pcb, utilizing shor t traces to minimize inductance. these capacitors should have a value of 0.01 f or 0.1 f. only ceramic smt (surface mount technology) capacitors should be used to minimize lead inductance, preferably 0508 or 0603 orientations where connection s are made along the length of the part. v dd av dd (or l2av dd ) 10 ? 2.2 f 2.2 f gnd low esl surface mount capacitors 3.3v 2.0v murs320 1n5820 murs320 1n5820
46 PC755/745 2138d?hirel?06/03 in addition, it is recommended that there be several bulk storage capacitors distributed around the pcb, feeding the v dd , l2o v dd , and ov vplanes, to enable quick recharging of the smaller chip capacitors. these bulk ca pacitors should have a low esr (equivalent series resistance) rating to ensure the quick response time necessary. they should also be connected to the power and ground planes through two vias to minimize inductance. suggested bulk capacitors ? 100-330 f (avx tps tant alum or sanyo oscon). connection recommendations to ensure reliable operation, it is highly recommended to connect unused inputs to an appropriate signal level through a resistor . unused active low inputs should be tied to o v dd . unused active high inputs should be co nnected to gnd. all nc (no-connect) sig- nals must remain unconnected. power and ground connections must be made to all external v dd , o v dd , l2o v dd , and gnd pins of the PC755. output buffer dc impedance the PC755 60x and l2 i/o drivers are characte rized over process, voltage, and temper- ature. to measure z 0 , an external resistor is connected from the chip pad to (l2)ov dd or gnd. then, the value of each resistor is varied until the pad voltage is (l2)o v dd /2 (see figure 33). the output impedance is the average of tw o components, the resistances of the pull-up and pull-down devices. when data is held lo w, sw2 is closed (sw1 is open), and r n is trimmed until the voltage at the pad equals (l2)o v dd /2. r n then becomes the resistance of the pull-down devices. when data is held high, sw1 is closed (sw2 is open), and r p is trimmed until the voltage at the pad equals (l2)o v dd /2. r p then becomes the resis- tance of the pull-up devices. no tag describes the driver impedance measurement circuit described above. figure 32. driver impedance measurement circuit (l2)ov dd ognd r p r n pad data sw1 sw2 (l2)ov dd
47 PC755/745 2138d?hirel?06/03 alternately, the following is another met hod to determine the output impedance of the PC755. a voltage source, v force , is connected to the output of the PC755 as in figure 33. data is held low, the voltage source is set to a value that is equal to (l2)o v dd /2 and the current sourced by v force is measured. the voltage drop across the pull-down device, which is equal to (l2)o v dd /2, is divided by the measured current to determine the output impedance of the pull-down device, r n . similarly, the impedance of the pull-up device is determined by dividing the voltage drop of the pull-up, (l2)o v dd /2, by the current sank by the pull-up when the data is high and v force is equal to (l2)o v dd /2. this method can be employed with either empirical data from a test set up or with data from simulation models, such as ibis. r p and r n are designed to be close to each other in value. then z 0 = (r p + r n )/2. figure 33 describes the alternate driver impedance measurement circuit. figure 33. alternate driver impedance measurement circuit table 20 summarizes the signal impedance re sults. the driver impedance values were characterized at 0 c, 65 c, and 105 c. the impedance increases with junction temper- ature and is relatively un affected by bus voltage. pull ? up resistor requirements the PC755 requires pull-up resistors (1 k ? ? 5 k ? ) on several control pins of the bus interface to maintain the control signals in the negated state after they have been actively negated and released by the processo r or other bus masters. these pins are ts , abb , aack , artry , dbb , dbwo , ta , tea , and dbdis . drtry should also be connected to a pull-up resistor (1 k ? ? 5 k ? ) if it will be used by the system; otherwise, this signal should be connected to hreset to select no-drtry mode. three test pins also require pull-up resistors (100 ? ? 1 k ? ). these pins are l1_tstclk, l2_tstclk, and lssd_mode . these signals are for factory use only and must be pulled up to ov dd for normal machine operation. table 20. impedance characteristics v dd = 2.0v, ov dd = 3.3v, t c = 0 - 105 c impedance processor bus l2 bus symbol unit rn 25-36 25-36 z 0 w rp 26-39 26-39 z 0 w (l2)ov dd bga da ta pi n ognd vforce
48 PC755/745 2138d?hirel?06/03 in addition, ckstp_out is an open-drain style output that requires a pull-up resistor (1 k ? ? 5 k ? ) if it is used by the system. during inactive periods on the bus, the address and transfer attributes may not be driven by any master and may, therefore, float in the high-i mpedance state for relatively long periods of time. since the processor must continually moni tor these signals for snooping, this float condition may cause addi tional power draw by the input receivers on the processor or by other receivers in the system. these signals can be pulled up through weak (10 k ? ) pull-up resistors by the system or may be otherwise driven by the system during inactive periods of the bus to avoid this additional power draw, but address bus pull-up resistors are not neccessary for proper device operation. the snooped address and transfer attribute inputs are: a[0:31], ap[0:3], tt[0:4], tbst , and gbl . the data bus input receivers are normall y turned off when no read operation is in progress and, therefore, do not require pull-up resistors on the bus. other data bus receivers in the system, howeve r, may require pull-ups, or that those signals be other- wise driven by the system during inactive pe riods by the system. the data bus signals are: dh[0:31], dl[0 :31], and dp[0:7]. if 32-bit data bus mode is selected, the input receivers of the unused data and parity bits will be disabled, and their outputs will drive logic zeros when they would otherwise nor- mally be driven. for this mode, these pins do not require pull-up resistors, and should be left unconnected by the system to minimize poss ible output switching. if address or data parity is not used by th e system, and the respective parity checking is disabled through hid0, the input receivers fo r those pins are disa bled, and those pins do not require pull-up resistors and should be left unconnected by the system. if all par- ity generation is disabled through hid0, then a ll parity checking should also be disabled through hid0, and all parity pins may be left unconnected by the system. definitions datasheet status validity objective specification this datasheet contains targ et and goal specification for discussion with customer and application validation. before design phase. target specification this datasheet contains target or goal specification for product development. valid during the design phase. preliminary specification site this datasheet contains prel iminary data. additional data may be published later; could include simulation result. valid before characterization phase. preliminary specification site this datasheet contains also characterization results. valid before the industrialization phase. product specification this datasheet contains final product specification. valid for production purpose. limiting values limiting values given are in accordance with the absolute maximu m rating system (iec 134). stress above one or more of the limiting values may cause permanent damage to the device. these are stress ratings only and operation of the device at these or at any other conditions above those given in the characteristics sect ions of the specification is not implied. exposure to limitin g values for extended periods may affect device reliability. application information where application information is given, it is advi sory and does not form part of the specification.
49 PC755/745 2138d?hirel?06/03 life support applications these products are not designed for use in lif e support appliances, devices, or systems where malfunction of these pr oducts can reasonably be expect ed to result in personal injury. atmel customers using or selling these products for use in such applications do so at their own risk and agree to fully indem nity atmel for any damages resulting from such improper use or sale. differences with commercial part ordering information note: for availability of different vers ions, contact your atmel sales office. commercial part military part temperature range t j = 0 to 105 c t j = - 55 c to 125 c PC755c m zf u 300 l x type package: zf: fc-pbga g: cbga gs: ci-cga gh: hitce screening level (1) u: upscreening test revision level (1) e: rev. 2.8 temperature range: tj m: -55 c, +125 c v: -40 c, +110 c bus divider (to be confirmed) l: any valid pll configuration max internal processor speed 300: 300 mhz 350: 350 mhz 366: 366 mhz 400: 400 mhz
printed on recycled paper. disclaimer: atmel corporation makes no warranty for the use of its products , other than those expressly contained in the company?s standar d warranty which is detailed in atmel?s terms and conditions loca ted on the company?s web site. the company assumes no responsibi lity for any errors which may appear in this document, reserves the right to change devices or specifications detailed herein at any time wi thout notice, and does not make any commitment to update the information contained herei n. no licenses to patents or other intellectual property of atmel are granted by the company in connection with the sale of atmel produc ts, expressly or by implicati on. atmel?s products are not aut horized for use as critical components in life support devices or systems. atmel corporation atmel operations 2325 orchard parkway san jose, ca 95131 tel: 1(408) 441-0311 fax: 1(408) 487-2600 regional headquarters europe atmel sarl route des arsenaux 41 case postale 80 ch-1705 fribourg switzerland tel: (41) 26-426-5555 fax: (41) 26-426-5500 asia room 1219 chinachem golden plaza 77 mody road tsimshatsui east kowloon hong kong tel: (852) 2721-9778 fax: (852) 2722-1369 japan 9f, tonetsu shinkawa bldg. 1-24-8 shinkawa chuo-ku, tokyo 104-0033 japan tel: (81) 3-3523-3551 fax: (81) 3-3523-7581 memory 2325 orchard parkway san jose, ca 95131 tel: 1(408) 441-0311 fax: 1(408) 436-4314 microcontrollers 2325 orchard parkway san jose, ca 95131 tel: 1(408) 441-0311 fax: 1(408) 436-4314 la chantrerie bp 70602 44306 nantes cedex 3, france tel: (33) 2-40-18-18-18 fax: (33) 2-40-18-19-60 asic/assp/smart cards zone industrielle 13106 rousset cedex, france tel: (33) 4-42-53-60-00 fax: (33) 4-42-53-60-01 1150 east cheyenne mtn. blvd. colorado springs, co 80906 tel: 1(719) 576-3300 fax: 1(719) 540-1759 scottish enterprise technology park maxwell building east kilbride g75 0qr, scotland tel: (44) 1355-803-000 fax: (44) 1355-242-743 rf/automotive theresienstrasse 2 postfach 3535 74025 heilbronn, germany tel: (49) 71-31-67-0 fax: (49) 71-31-67-2340 1150 east cheyenne mtn. blvd. colorado springs, co 80906 tel: 1(719) 576-3300 fax: 1(719) 540-1759 biometrics/imagin g/hi-rel mpu/ high speed converters/rf datacom avenue de rochepleine bp 123 38521 saint-egreve cedex, france tel: (33) 4-76-58-30-00 fax: (33) 4-76-58-34-80 e-mail literature@atmel.com web site http://www.atmel.com 2138d?hirel?06/03 0m ? atmel corporation 2003 . all rights reserved. atmel ? and combinations thereof, are the registered trade- marks of atmel corporation or its subsidiaries. the powerpc names and the powerpc logotype are trade- marks of international business machines corporation, used under license therform. motorola is the registered trademark of motorola, inc. altivec ? is a trademark of motorola, inc. other terms and product names may be the trademarks of others.


▲Up To Search▲   

 
Price & Availability of PC755

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X